Математические модели и методы синтеза в сверхбольших интегральных схемах
книга

Математические модели и методы синтеза в сверхбольших интегральных схемах : лабораторный практикум

Форматы: PDF

Издательство: Северо-Кавказский Федеральный университет (СКФУ)

Год: 2016

Место издания: Ставрополь

Страниц: 187

Артикул: 19971

Электронная книга
374

Краткая аннотация книги "Математические модели и методы синтеза в сверхбольших интегральных схемах"

Пособие подготовлено в соответствии с ФГОС ВО; состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов.
Предназначено для бакалавров, обучающихся по направлению «Прикладная математика и информатика»; может быть полезно для магистров направления «Прикладная математика и информатика», аспирантов направления «Информатика и вычислительная техника», а также научных работников, занимающихся проектированием современных вычислительных систем.

Содержание книги "Математические модели и методы синтеза в сверхбольших интегральных схемах"


Введение
Типы данных
Параллельные операции
Процессы и переменные
Построение и моделирование испытательных стендов
Создание памяти
Конечные автоматы
Разработка циклов и условий
Атрибуты, функции и процедуры
Лабораторные работы
1. Знакомство со средой разработки
2. Использование инструментов ISE
3. Использование параллельных операторов
4. Построение простого процесса
5. Построение двухпортовой памяти
6. Построение конечного автомата Мура
7. Использование циклов
8. Построение собственного пакета
Итоговый проект. Построение арифметического устройства, работающего в системе остаточных классов
Литература

Все отзывы о книге Математические модели и методы синтеза в сверхбольших интегральных схемах : лабораторный практикум

Чтобы оставить отзыв, зарегистрируйтесь или войдите

Отрывок из книги Математические модели и методы синтеза в сверхбольших интегральных схемах : лабораторный практикум

62 библиотек можно посмотреть в каталоге программы: …:\Xilinx\14.7\ISE_DS\ISE\vhdl\src\ Выведение триггера D-типа с синхронным сбросом Создание экземпляра триггера D-типа с синхронным сбросом myDFF: process (clk) begin if rising_edge(clk) then if (reset =’1’) then Q <=’0’; else Q <= D; end if; end if; end process myDFF; library unisim; use unisim.vcomponents.all; myDFF: for port map (D=>D, C=>clk, R=>reset, Q=>Q); Шаблоны языка (Language Templates, значок лампочки) обеспечивает доступ к наиболее часто используемым конструк-циям языка, а также содержит шаблоны для создания экземпля-ров примитивов. Преимущества использования вывода:  Инструментам синтеза могут гибко сделать выбор между производительностью и использованием ресурсов.  Инструменты оптимизации более эффективны.  Процесс написания кода занимает меньше времени.  Большая портативность среди семейств.  Простое и быстрое моделирование. Но не все примитивы могут быть выведены, например, неко-торые операции блоков DSP, некоторые виды памяти. В этом случае, если невозможен вывод, следует воспользоваться Масте-ром Архитектуры (Architecture Wizard) или Инструментом CORE Generator™. Существует несколько типов ресурсов памяти FPGA. Распределенная память (Distributed memory). Многие LUTы1 могут служить как небольшая память. Количество и раз- 1 Не каждый LUT в каждом типе FPGA может действовать как память. В архитектурах Xilinx, имеющих LUTы, которые могут быть использованы как память, эти LUTы находятся в SLICEMах.